Master Slave Flip Flop mit allen wichtigen Schaltungs- und Timing-Diagrammen und 10+ FAQ

Inhalt: Master-Slave-Flipflop

Master-Slave-Flipflop-Definition

Master-Slave ist eine Kombination aus zwei in Reihe geschalteten Flip-Flops, wobei einer als Master und ein anderer als Slave fungiert. Jedes Flip-Flop ist mit einem zueinander komplementären Takt verbunden, dh wenn der Takt im High-Zustand ist, befindet sich das Master-Flip-Flop im Freigabezustand und das Slave-Flip-Flop im Sperrzustand, und wenn Takt Puls im Low-Zustand ist, das Master-Flip-Flop im deaktivierten Zustand ist und das Slave-Flip-Flop im aktivierten Zustand ist.

Master-Slave-Flip-Flop wird auch als bezeichnet.

Impulsgetriggertes Flipflop, weil das Flipflop während dieser Betriebsart durch einen CLK-Impuls aktiviert oder deaktiviert werden kann.

Master-Slave-Flipflop-Diagramm

Angenommen, im Anfangszustand Y=0 und Q=0 ist die nächste Eingabe S=1 und R=0; während dieses Übergangs ist das Master-Flip-Flop gesetzt und Y=1, es gibt keine Änderung im Slave-Flip-Flop, da das Slave-Flip-Flop durch den invertierten Takt deaktiviert wird, wenn der Takt des Masters auf '0' wechselt, dann geht die Information von Y durch Slave und Q = 1, in diesem Takt ist das Slave-Flip-Flop aktiv und die Master-Flip-Flop-Gatter deaktiviert.

Master-Slave-Flipflop
Abb. Master-Slave-Flipflop-Logikdiagramm.

Master-Slave-Flip-Flop-Schaltung | Master-Slave-Flip-Flop-Schaltplan

34 Bild
Abb. Getaktetes Master-Slave-JK-Flip-Flop

Master-Slave-Flip-Flop-Timing-Diagramm

Im Zeitdiagramm können die zeitlichen Veränderungen von Ein- und Ausgang definiert werden.

Das Verhalten eines Master-Slave-Flipflops kann durch ein Zeitdiagramm bestimmt werden. In der folgenden Abbildung sehen wir beispielsweise ein Signal des Taktimpulses, S ist das Eingangssignal des Master-Flip-Flops, Y ist das O/P-Signal des Master-Flip-Flops und Q ist das Ausgangssignal von Slave-Flipflop.

35 Bild
Abb. Zeitbeziehung des Master-Slave-Flip-Flops.

Master-Slave-Flipflop-Wahrheitstabelle

Die Wahrheitstabelle ist eine Beschreibung aller möglichen Ausgaben mit allen möglichen Eingabekombinationen. Im Master-Slave-Flipflop sind zwei Flipflops mit invertiertem Takt miteinander verbunden, daher muss in der Master-Slave-Wahrheitstabelle zusätzlich zu den Flipflop-Zuständen eine zusätzliche Spalte für Taktimpulse vorhanden sein, damit die Beziehung zwischen den between Ein- und Ausgang mit dem Takt bestimmt werden.  

Anwendung des Master-Slave-Flip-Flops

Mater-Slave-Konfiguration ist hauptsächlich verwendet, um das Rennen um die Bedingung zu beseitigen und instabile Schwingungen im Flip-Flop loszuwerden.

Vorteile des Master-Slave-Flipflops

Master-Slave kann mit pegel- oder flankengetriggertem Takt betrieben werden; es kann auf verschiedene Weise verwendet werden.

  • Eine sequentielle Schaltung mit einem flankengesteuerten Flip-Flop ist im Gegensatz zu einem pegelgetriggerten Flip-Flop einfach zu entwerfen.
  • Durch die Verwendung der Master-Slave-Konfiguration können wir auch das Wettrennen um die Bedingung eliminieren.

Master-Slave-JK-Flip-Flop

Das Master-Slave-JK-Flip-Flop hätte unter Verwendung von 2 JK-Flip-Flops entworfen werden können, indem jedes Flip-Flop mit einem zueinander komplementären CLK-Puls verbunden ist und das erste Flip-Flop das Master-Flip-Flop ist, das arbeitet, wenn der CLK-Puls ist hochzustand. Und zu diesem Zeitpunkt befindet sich das Slave-Flip-Flop im Haltezustand, und wenn der CLK-Impuls im niedrigen Zustand ist, dann arbeitet das Slave-Flip-Flop und das Master-Flip-Flop bleibt im Haltezustand.

Die JK-Flip-Flop-Charakteristik ähnelt mehr oder weniger der SR-Flip-Flop, aber im SR-Flip-Flop gibt es einen unsicheren Ausgangszustand, wenn S=1 und R=1, aber im JK-Flip-Flop, wenn J= 1 und K=1, schaltet das Flip-Flop um, dh der Ausgangszustand ändert sich von seinem vorherigen Zustand.

JK Master Slave Flip Flop Schaltplan

36 Bild
Abb. Blockschaltbild JK-Master-Salve.

JK Flip Flop Master Slave Timing-Diagramm

37 Bild
Abb. Timing-Diagramm für JK-Master-Slave-Flipflop

Master Slave JK Flip Flop Wahrheitstabelle

38 Bild

Master Slave JK Flip Flop funktioniert

Ein Master-Slave-Flipflop kann flankengetriggert oder pegelgetriggert sein, dh es kann entweder seinen Ausgangszustand beim Übergang von einem Zustand in einen anderen ändern, also flankengetriggert. Der Ausgang des Flip-Flops wechselt bei hohem oder niedrigem Eingang, dh pegelgetriggert. Das Master-Slave-JK-Flipflop kann auf beide getriggerte Arten verwendet werden; bei flankengetriggert kann er +ve flankengetriggert oder -ve flankengetriggert sein.

Bei flankengetriggert wird das Master-Flip-Flop von der +ve-Flanke des Taktimpulses abgeleitet. Zu diesem Zeitpunkt befindet sich das Slave-Flipflop im Haltezustand, dh der Ausgang des Masters entspricht seinem Eingang. Beim Eintreffen des negativen Taktimpulses wird das Slave-Flipflop aktiviert. Das O/P des Master-Flip-Flops breitet sich durch das Slave-Flip-Flop aus; zu diesem Zeitpunkt befindet sich das Master-Flip-Flop im Haltezustand.

Arbeiten:

  • Bei J = 0, K = 0 ändert sich der Ausgang mit oder ohne Takt nicht.
  • Wenn J = 1, K = 0 und der Taktimpuls eine positive Flanke hat, wird der Ausgang des Master-Flip-Flops Q auf High gesetzt, und wenn die negative Flanke des Takts ankommt, geht der Ausgang des Master-Flip-Flops durch das Slave-Flip flop und Ausgabe erzeugen.
  • Wenn J = 0, K = 1 und der Taktimpuls eine positive Flanke ist, wird der Ausgang des Master-Flip-Flops Q auf Low gesetzt und Q' wird auf High gesetzt, wenn die negative Taktflanke ankommt, wird der Q'-Ausgang des Master-Flips flop-Einspeisung in das Slave-Flipflop, und dies bewirkt, dass der Ausgang des Slave-Q auf niedrig gesetzt wird.
  • Wenn J = K = 1, dann toggelt bei der positiven Flanke des Taktes das Master-Flip-Flop (bedeutet den Wechsel des vorherigen Zustands in den entgegengesetzten Zustand), und bei der negativen Flanke des Taktes das Slave-Flip-Flop schaltet um.

Master-Slave-JK-Flip-Flop-Verilog-Code

Modul jk_master_slave(q, qbar, clk, j, k); Ausgang q, qbar; Eingabe j, k, clk; Draht qm, qmbar, clkbar; nicht (clkbar, clk); jkff master(qm, qmbar, clk, j, k); jkff slave(q, qbar, clkbar, qm, qmbar); Endmodul Modul jkff(q, qbar, clk, j, k); Eingabe j, k, clk; Ausgang q, qbar; immer @(posedge clk) case({j,k}) 2'b00: begin q<=q; qbar<=qbar; Ende 2'b01: Anfang q<=0; q bar <= 1; Ende 2'b10: Anfang q<=1; qbar<= 0; Ende 2'b11: Anfang q<=~q; qbar<=~qbar; Endgehäuse Endmodul

VHDL_code

Bibliothek IEEE; Verwenden Sie IEEE.STD_LOGIC_1164.ALL; Entity jkff ist port(p, c, j, k, clk: in STD_LOGIC; q,qbqr: out STD_LOGIC); Ende jkff; Architektur Verhalten von jkff ist Signaleingang: std_logic_vector (1 bis 0); Eingabe beginnen <= j & k; process(clk, j, k, p, c) variable temp: std_logic:='0'; start if(c='1' and p='1') then if riseing_edge(clk) then case input is when "10" => temp:= '1'; wenn „01“ => temp:= '0'; wenn „11“ => temp:= nicht temp; wenn andere => null; Endfall; Ende wenn; sonst temp='0'; Ende wenn; q<= Temperatur; qbar<= nicht temp; Prozess beenden; Verhalten beenden

Vorteile von Master Slave JK Flip Flop

JK-Flip-Flop-Master-Slave überwindet die Begrenzung von SR-Flip-Flop, in SR-Flip-Flop, wenn die Bedingung S = R = 1 eintrifft, wird der Ausgang unsicher, aber im JK-Master-Slave, wenn J = K = 1, dann schaltet der Ausgang um, der Ausgang Dieser Zustand ändert sich ständig mit dem Takt.

Anwendung von Master Slave JK Flip Flop

JK-Flip-Flop-Master-Slave die Begrenzung des SR-Flip-Flops überwinden, im SR-Flip-Flop wird der Ausgang unsicher, wenn die Bedingung S = R = 1 eintrifft. Im JK-Master-Slave, wenn J = K = 1, dann toggelt der Ausgang, der Ausgang dieses Zustands ändert sich ständig mit dem Taktimpuls.

Master-Slave-D-Flipflop

In diesem Master-Slave auch zwei D-Flipflop miteinander in Reihe geschaltet, wobei Taktimpulse zueinander eingeladen werden. Der grundlegende Mechanismus dieses Master-Slaves ähnelt auch anderen Master-Slave-Flip-Flops. Das D-Master-Slave-Flipflop kann pegelgetriggert oder flankengetriggert sein.

Master-Slave-D-Flip-Flop-Schaltplan

39 Bild

Abb. Blockdarstellung der Master-Slave-D-Flip-Flop-Schaltung.

Master-Slave-D-Flip-Flop-Timing-Diagramm

In dem Diagramm ist ein Signal des Taktimpulses D, das i/p zum Master-Flip-Flop, Qm ist das o/p des Master-Flip-Flops und Q ist das o/p des Slave-Flip-Flops.

40 Bild

Abb. Zeitdiagramm des Master-Slave-D-Flip-Flops

Master Slave D Flip Flop Wahrheitstabelle

41 Bild

Master-Slave-D-Flip-Flop mit NAND-Gattern

Das Master-Slave-D-Flipflop kann mit NAND-Gattern ausgelegt sein; in dieser Schaltung gibt es zwei D-Flip-Flops, eines fungiert als Master-Flip-Flop und das andere fungiert als Slave-Flip-Flop mit einem zueinander invertierten Takt. Hier werden für Inverter auch NAND-Gatter verwendet.

42 Bild

Abb. Schaltplan eines Master-Slave-D-Flip-Flops mit NAND-Gattern.

Master Slave flankengetriggert D Flip Flop

Wenn sich der Zustand eines Flip-Flops während des Übergangs eines Takts ändert, wird der Impuls als flankengetriggertes Flip-Flop bezeichnet und diese können +ve flankengetriggert oder -ve flankengetriggert sein. Das +ve flankengetriggerte Flipflop bedeutet, dass sich sein Zustand während des Übergangs des CLK-Impulses vom Zustand „0“ in den Zustand „1“ ändert. Das -ve Flanke ausgelöst Flip-Flop impliziert, dass sich der Zustand des Flip-Flops während des Übergangs des Taktimpulses vom Zustand '1' in den Zustand '0' ändert.

43 Bild

Feige.  Master-Slave-Flip-Flop vom Typ D mit positiver Flanke.

Das durch positive Flanken getriggerte d Master-Slave-Flipflop ist mit drei grundlegenden Flipflops ausgelegt, wie in der obigen Abbildung gezeigt; S und R werden auf logisch '1' gehalten, damit der Ausgang stabil bleibt. Wenn S = 0 und R = 1 ist, ist der Ausgang Q = 1, wobei für S = 1 und R = 0 der Ausgang Q = 0 ist. Wenn sich der Takt von 0 auf 1 ändert, wird der Wert von D auf Q übertragen, Änderung von D, wenn der Takt auf '1' gehalten wird, wird der Wert von Q davon nicht beeinflusst, und ein Übergang von 1 auf 0 auch bewirkt keine Änderungen am Ausgang Q, noch wenn der Takt '0' ist.

In der praktischen Schaltung gibt es jedoch eine Verzögerung, sodass wir für eine ordnungsgemäße Ausgabe die Setup- und die Haltezeit für den ordnungsgemäßen Betrieb berücksichtigen müssen. Eine bestimmte Zeit vor dem Eintreffen des Taktimpulses sollte der Anforderung des Wertes von D zugewiesen werden, dass die Zeit als . bezeichnet wird Installationszeit. Haltezeit ist die Zeit, für die der Eingang nach dem Eintreffen des Taktimpulses betrachtet werden soll.

RS-Master-Slave-Flipflop

Master-Slave ist eine Konfiguration, um das instabile Verhalten eines Flip-Flops zu verhindern; Hier in RS-Master-Slave-Flipflop, zwei RS-Flip-Flops sind verbunden, um eine Master-Slave-Konfiguration zu bilden, hier ist das Flip-Flop mit einem zueinander invertierten Takt verbunden; wenn die positive Hälfte des Taktimpulses ankommt, wird das Master-Flipflop aktiviert, und während des negativen Taktimpulses wird das Slave-Flipflop aktiviert. Jedes Flipflop arbeitet in unterschiedlichen Zeitintervallen.

In der Master-Salve-Konfiguration des RS-Flip-Flops kann keine unverkäufliche Schwingung stattfinden, weil sich das Master-Flip-Flop zu einem Zeitpunkt im Haltezustand oder das Slave-Flip-Flop im Haltezustand befindet. Für ein ordnungsgemäßes Funktionieren des Mater-Salve-Flip-Flops müssen wir die Haltezeit und die Setup-Zeit berücksichtigen, die von einer Schaltung zur anderen variieren können; es hängt vom Design der Schaltung ab.

44 Bild
Abb. Blockdarstellung des RS-Master-Slave-Flip-Flops

Master Slave SR Flip Flop Timing-Diagramm

Hier gibt es ein Taktsignal, S ist das Eingangssignal für das Master-Flip-Flop, R ist auch ein I/p-Signal für das Master-Flip-Flop, Qm ist das O/P des Master-Flip-Flop, Q wenn das O/P-Signal des Slave-Flip-Flops.

45 Bild
Abb, Timing-Diagramm des Master-Slave-SR-Flip-Flops.

Master-Slave-T-Flipflop

46 Bild
Abb. Blockschaltbild des Master-Slave-T-Flip-Flops

FAQ / Kurznotizen

Was meinst du mit Flipflop? | Was ist Flip Flop mit Beispiel?

Das Flip-Flop ist ein grundlegendes Element in der sequentielle Logik Schaltung, ein bistabiles Element, da es zwei stabile Zustände hat: '0' und der andere ist '1'. Es kann jeweils nur 1 Bit speichern und eine Flip-Flop-Schaltung ist in der Lage, ihren Zustand auf unbestimmte Zeit beizubehalten oder bis Energie an die Schaltung geliefert wird. Der O/P-Zustand des Flip-Flops kann mit Eingang und Takt am Flip-Flop geändert werden. Wenn eine Latch-Schaltung mit einigen grundlegenden Gattern und Taktimpulsen hinzugefügt wird, handelt es sich um ein Flip-Flop. Ein Beispiel für Flip-Flop ist D-Flip-Flop, SR-Flip-Flop, JK-Flip-Flop usw.

Was ist ein S- und R-Flipflop?

Bei einem SR-Flip-Flop steht das S für das Set und R für Reset; deshalb wird es auch als Set-Reset-Flip-Flop bezeichnet. Es kann mit zwei UND-Gattern und einem Takt zu einem SR-Latch ausgeführt werden. Wenn der Taktimpuls '0' ist, kann kein Eingangswert über S oder R den Ausgangswert Q ändern, und wenn der Taktimpuls '1' ist, hängt der Wert des Ausgangs Q von den Eingangswerten von S und R ab.

47 Bild
Abb. Diagramm des SR-Flip-Flops

Welche Arten von Flipflops gibt es?

Es gibt vier Arten von Flip-Flops:

  1. SR-FFs.
  2. JK FFs.
  3. D FFs.
  4. T-FFs.

Was ist ein JK-Flipflop?

Die JK-Flip-Flop-Charakteristik ähnelt mehr oder weniger der SR-Flip-Flop, aber im SR-Flip-Flop gibt es einen unsicheren Ausgangszustand, wenn S=1 und R=1, aber im JK-Flip-Flop, wenn J=1 und K= 1 schaltet das Flip-Flop um, d. h. der Ausgangszustand ändert sich von seinem vorherigen Zustand.

Das JK-Flipflop kann entworfen werden, indem dem Eingang von S und R im SR-Flipflop UND-Gatter hinzugefügt werden, der Eingang J und der Ausgang Q' werden an das mit S und Eingang K verbundene UND-Gatter angelegt, und der Ausgang Q wird an die angelegt Und Tor mit R verbunden.

48 Bild
Abb. JK-Flip-Flop ist mit SR-Flip-Flop ausgeführt.

Wie funktioniert JK-Flipflop?

Wenn der Takt nicht bereitgestellt wird oder der Takt niedrig ist, kann die Eingangsänderung den Ausgang nicht beeinflussen. Um den Ausgang mit dem Eingangstakt zu manipulieren, muss der Impuls also hoch sein.

49 Bild
Abb. Blockschaltbild eines JK-Flip-Flops.

Funktionsweise des JK-Flip-Flops, wenn der Takt hoch ist:

  • Bei J = 0 und K = 0 ändert sich die Ausgabe nicht.
  • Wenn J = 0 und K = 1 ist, wird der Wert des Ausgangs zurückgesetzt.
  • Wenn J = 1 und K = 0 ist, wird der Ausgabewert gesetzt.
  • Bei J = 1 und K = 1 wird der Ausgangswert umgeschaltet (bedeutet, in den entgegengesetzten Zustand zu wechseln). In diesem Zustand ändert sich der Ausgang kontinuierlich mit dem Takt.

Warum wird JK-Flip-Flop verwendet?

JK-Flip-Flop ist vielseitiger als D-Flip-Flop oder SR-Flip-Flop; sie können mehr Funktionen ausführen als jedes andere Flip-Flop, sie werden häufig zum Speichern von Binärdaten verwendet. Das JK-Flipflop überwindet auch die unsicheren Zustände des SR-Flipflops.

Wie schaltet JK Flip-Flop um?

Wenn der Eingang zum Flip-Flop J = K = 1 mit Taktimpuls hoch ist, dann schaltet das JK-Flip-Flop um.

Warum wird D-Flip-Flop Verzögerung genannt?

Der nächste Ausgangszustand des D-Flip-Flops folgt dem Eingang D, wenn der Takt anliegt, dadurch werden die Eingangsdaten verzögert an den Ausgang übertragen, daher wird es als Verzögerungs-Flip-Flop bezeichnet.

Was sind die Anwendungen von Flip-Flop?

Das Flip-Flop wird im Allgemeinen als a

  • Die Speicherelemente. 
  • In den Schieberegistern. 
  • Die digitalen Zähler.
  • Die Freq. Teilerschaltungen.
  • Der Prellbeseitigungsschalter usw.

Was sind die Eigenschaften von Flipflops?

Es ist eine synchrone sequentielle Schaltung; er ändert seinen Ausgangszustand nur, wenn der Takt anliegt. Es ist das grundlegende Speicherelement für jede sequentielle Schaltung, es kann jeweils ein Bit speichern. Es ist ein bistabiles Gerät.

Was ist der Unterschied zwischen D- und T-Flipflop?

  • D-Flipflop kann keine ähnlichen Eingaben annehmen, da D und D' seine beiden Eingaben sind, so dass die Eingaben immer komplementär zueinander sind. Andererseits ist sowohl der Eingang in T der einzige T, so dass beide Eingänge zum T-Flipflop immer gleich sind.
  • Das D-Flip-Flop ist ein Verzögerungs-Flip-Flop, bei diesem Flip-Flop folgt der Ausgang dem Eingang mit dem Eintreffen des Taktimpulses, während das T-Flip-Flop als Toggle-Flip-Flop bezeichnet wird, bei dem der Ausgang bei jedem Eintreffen in den entgegengesetzten Zustand wechselt des Taktimpulses, wenn der Eingang 1 ist.

Wo werden D-Flipflops verwendet?

Es wird häufig als Verzögerungsgerät oder zum Speichern von 1-Bit-Dateninformationen verwendet.

Hinterlasse einen Kommentar