Sequenzielle Logik: 17 wichtige Fakten, die Sie kennen sollten

Inhalt: Sequentielle Logik

Sequentielle Logikdefinition:

Eine Art von Logik, bei der sowohl der vorherige Sequenzzustand der Eingänge als auch der aktuelle Eingang den aktuellen Ausgangszustand beeinflussen können.

Was ist eine sequentielle Logikschaltung?

Das sequentielle Logikschaltung ist eine kombinierte Form der kombinatorischen Schaltung mit einem Basisspeicherelement. Bei Vorhandensein eines Speicherelements kann die Schaltung vorherige Eingangs- und Ausgangszustände speichern. Gleichzeitig ist die sequentielle Logikschaltung allgemein als Zweizustands- oder bistabile Vorrichtung bekannt, da sie nur zwei stabile Zustände, '0' und '1' hat, jeweils einen Zustand. Das Speicherelement in der Schaltung kann jeweils ein Bit speichern.

Dieser Schaltungstyp hat eine endliche Anzahl von Eingängen mit einer endlichen Anzahl von Ausgängen. Aufgrund des Speicherelements bietet diese Schaltung die Lösung für unsere vielen Probleme. Eine sequentielle Logikschaltung wird hauptsächlich als Register, Zähler, Analog-Digital-Wandler (ADC), usw.

Sequentielles Logikdiagramm | Sequentielle Logikarchitektur :

Sequentielle Logikschaltung
Abb. Sequentielle Logikschaltung

Arten von sequentiellen Logikschaltungen:

Generell können wir die sequentielle Logikschaltung in zwei Grundtypen unterscheiden:

  • A. Asynchrone sequentielle Logikschaltung.
  • B. synchrone sequentielle Logikschaltung.

Synchrone sequentielle Logikschaltungen:

Der Ausgang dieser Logikschaltung hängt von dem Eingangsimpuls und dem Taktimpuls der Schaltung ab. Die Schaltung ist taktsynchron, dh der Ausgang kann sich erst nach einem endlichen Zeitintervall ändern. Hier ist Speicherelement und die Uhr eine Notwendigkeit. Ohne Taktimpuls ändert sich die Ausgabe nicht. Bei einer Änderung eines Ausgangszustands zu einem anderen wartet diese Schaltung auf die nächste Änderung des Taktimpulses.

Dieser Schaltungstyp kann verwendet werden, um alle in der Schaltung vorhandenen Elemente zu synchronisieren, praktisch um auf eine Änderung der Eingabe zu reagieren. Es besteht ein Bedarf an einer endlichen Zeitdauer, damit die verarbeitete Ausgabe hauptsächlich auftritt, bekannt als Ausbreitungsverzögerung. Die Ausbreitungsverzögerung kann von Element zu Element variieren. Für eine richtig funktionierende Schaltung brauchen wir also ein bestimmtes Zeitintervall, damit alle Elemente ihre Zeit haben, richtig zu reagieren. Beispiele für synchrone Logikschaltungen sind Flip-Flops, Synchronzähler usw.

Asynchrone sequentielle Logikschaltungen:

Der Ausgang dieser Logikschaltung hängt nur vom Eingangsimpuls und der Reihenfolge der vorherigen Eingangsdaten ab. Diese Schaltung hat keine Uhr und benötigt keine Synchronisation, daher ist die Schaltung unabhängig von der Uhr, was sie schneller macht als die synchrone sequentielle Logikschaltung kann unabhängig von der Zeit beeinflusst werden, da sich der Ausgang in Bezug auf eine Änderung des Eingangs mit minimalem Zeitbedarf ändern kann. Das einzige Hindernis für die Geschwindigkeit dieser Schaltung ist die Laufzeitverzögerung der Schaltungselemente. Es verbraucht weniger Strom, geringe elektromagnetische Störungen.

Asynchrone sequentielle Logikschaltungen führen normalerweise Operationen in folgenden Fällen durch:

 Diese Schaltungen werden hauptsächlich verwendet, wenn die Betriebsgeschwindigkeit Priorität hat, wie z. B. in Mikroprozessoren, digitaler Signalverarbeitung, für den Internetzugang usw. Aufgrund des asynchronen Verhaltens kann die Ausgabe manchmal unsicher sein, was die Anwendung der asynchronen sequentiellen Logik einschränkt Schaltkreis. Bildung diese Art von Schaltung ist auch schwierig.

Unterschied zwischen synchronen und asynchronen sequentiellen Logikschaltungen:

Synchrone sequentielle LogikschaltungAsynchrone sequentielle Logikschaltung
Der Ausgang dieser Logikschaltung hängt sowohl vom Eingangsimpuls als auch vom Taktimpuls der Schaltung ab.Der Ausgang dieser Logikschaltung hängt nur vom Eingangsimpuls und der Folge der vorherigen Eingangsdaten ab.
Die Uhr ist in dieser Schaltung vorhanden.In der Schaltung ist keine Uhr vorhanden.
Die Schaltung ist einfach zu entwerfen.Das Design dieser Schaltung ist komplex.
Relativ langsamer als die einer asynchronen sequentiellen Logikschaltung.Relativ schnelleres Arbeiten als das der synchronen sequentiellen Logikschaltung.
Zustandsausgabe ist immer vorhersehbarZustandsausgabe manchmal unvorhersehbar
Diese Schaltung verbraucht etwas viel Strom.Es verbraucht relativ weniger Strom.

Sequentielle Logikzustandsdiagramme:

Das sequenzlogische Zustandsdiagramm ist ein Kennfeld der Schaltung, in dem wir den Übergang zwischen den Zuständen des Eingangs bestimmen können. In dieser Art von Diagramm wird dieser Zustand hauptsächlich als Kreis dargestellt und der Wechsel von einem Zustand in einen anderen wird durch einen Pfeil gekennzeichnet, zusammen mit diesem Pfeil wird der Eingangsimpuls dargestellt, der den Übergang zwischen den Zuständen bewirkt. Bei Impulsausgang kann der Pfeil mit dem Ausgang bezogen auf den Eingangsimpuls dargestellt werden. Hier beginnt der Pfeil mit einem Kreis und geht zu einem anderen Kreis und manchmal kann er je nach Zustand zu demselben Kreis zurückkehren.

Sequentielles Logikschaltungsdesign | Prinzipien des sequentiellen Logikdesigns

Das wissen wir bereits a sequentielle Logikschaltung kombiniert die kombinatorische Schaltung mit einem Speicherelement. Und für das Speicherelement benötigen wir ein statisches Speicherelement, um Daten in einer Schaltung zu speichern. Um eine statische Speicherzelle in der Schaltung zu erzeugen, verwenden wir also Inverter.

Schritte des sequentiellen Logikschaltungsentwurfs:

  1.  Erstellen Sie ein Zustandsdiagramm für die gewünschte Folgeschaltung mit den gewünschten Ausgangszuständen.
  2. Wandeln Sie das Zustandsdiagramm in eine Zustandstabelle um.
  3. Wählen Sie das Flip-Flop als Ihre Anforderung und das alle erforderlichen Bedingungen erfüllt, verwenden Sie die Kennlinientabelle oder Erregungstabelle zur Auswahl der Flip-Flop.
  4. Minimieren Sie die Eingangsfunktionen zum Flip-Flop mit Hilfe einer K-Map oder erforderlichen Booleschen Algorithmen.
  5. Verwenden Sie die vereinfachte Funktion, um die sequentielle Schaltung zu entwerfen und wenn die kombinatorische Schaltung für den erforderlichen Ausgang benötigt wird, fügen Sie sie entsprechend hinzu.
  6. Überprüfen Sie abschließend, ob die erforderliche Ausgabe durch die Schaltung erfolgt.

Indem wir dem obigen Schritt folgen, können wir jede erforderliche sequentielle Schaltung entwerfen.

Sequentielle MOS-Logikschaltungen:

Wie wir wissen, ist eine sequentielle Logikschaltung eine Kombination der kombinatorischen Schaltung mit einem Speicherelement. Und für das Speicherelement benötigen wir ein statisches Speicherelement, damit es Daten in einer Schaltung speichern kann. Um eine statische Speicherzelle in einer Schaltung zu erzeugen, verwenden wir also Inverter.

Wandler
Abb. In dieser Abbildung sind zwei Wechselrichter rückgekoppelt miteinander verbunden.

Eine statische Speicherzelle kann durch zwei oder eine beliebige gerade Anzahl von Invertern gebildet werden, die mit Rückkopplung in Reihe geschaltet sind. Es hat zwei stabile Zustände, aber jeweils einen stabilen Zustand, und der stabile Ausgangszustand betrifft den Eingang. Wenn sich ein Rauschen (in Form einer Spannung oder in anderer Form) zum Ausgang addiert, was die Schaltung instabil machen kann, und der Ausgang möglicherweise in einem bestimmten Zustand nicht stabil ist, aber wenn das Rauschen durch einen der Wechselrichter kreuzt, wird es eliminiert Da sich diese Schaltung regeneriert, versucht sie immer, in einen bestimmten stabilen Zustand zurückzukehren, was uns hilft, eine aktive und regenerative Speicherzelle zu schaffen.

Schaltkreise
Abb. In dieser Figur eine CMOS-Schaltung der beiden in Rückkopplung geschalteten Inverter.

Das obige Diagramm ist das CMOS Schaltung ist der Speicherzelle (zwei Inverter in der Rückkopplung geschaltet). Wo diese Schaltung unter Berücksichtigung des über den Eingang zugeführten Eingangs (Spannung) bei '0' oder '1' stabil ist, ist diese Speicherzelle im CMOS eine statische Speicherzelle. Und durch Kombinieren der CMOS-Schaltung dieser Speicherzelle mit der kombinatorischen CMOS-Schaltung können wir die sequentielle CMOS-Schaltung entwerfen.

Kombinatorische Logik vs. sequentielle Logik:

Kombinatorische LogikSequentielle Logik
Es handelt sich um eine Art digitale Logik, die aus zahlreichen booleschen Schaltungen besteht und deren Ausgang nur von Stromeingängen abhängt.Es ist auch eine Art digitale Logik, die sowohl aus einem kombinatorischen als auch aus einem Speicherelement besteht, deren Ausgang nicht nur von der aktuellen Eingabe abhängt, sondern auch durch die Reihenfolge der vorherigen Eingaben manipuliert werden kann.
Seine Schaltung ist relativ teuer.Seine Schaltung ist relativ billig.
Die Uhr ist nicht in ihrer Schaltung vorhanden.Der Takt ist ein notwendiges Element in der synchronen sequentiellen Schaltung.
Es gibt kein Speicherelement in seiner Schaltung.In der Schaltung dieser Logik muss ein Speicherelement vorhanden sein.
Es ist keine Rückkopplungsschaltung vorhanden.Für die Manipulation durch vergangene Eingaben wird eine Rückkopplungsschaltung benötigt.
Das Entwerfen der Schaltung durch Logikgatter ist einfach.Hier können wir aufgrund des Erfordernis von Speicherelementen und Rückkopplung mit Komplikationen beim Entwurf der Schaltung konfrontiert werden.
Die Verarbeitung der Ergebnisse ist vergleichsweise schneller.Nach Berücksichtigung aller Aspekte kann die Ausgabeverarbeitung relativ langsamer sein.
Wir können die Input-Output-Beziehung durch die Wahrheitstabelle definieren.Die Input-Output-Beziehung kann durch eine Kennlinientabelle, Anregungstabelle und Zustandsdiagramme definiert werden.
Die Anforderung dieser Logik besteht hauptsächlich darin, boolesche Operationen auszuführenAnforderung dieser Logik zum Speichern von Daten, Erstellen von Zählern, Registern usw.

Sequentielle Logikschaltungen Anwendungen:

Bei der endlichen Anzahl von Ein- und Ausgängen wird die sequentielle Logikschaltung verwendet, um einen endlichen Automaten aufzubauen. Es kann als Register, Zähler usw. fungieren. Mit Hilfe einer kombinatorischen Schaltung können viele Grundgeräte wie RAM (Random Access Memory) erstellt werden, da sequentielle Logikschaltungen uns die Möglichkeit bieten, Daten zu speichern, zu denen sie die Tür öffnet der Mikroprozessor und die arithmetische Logikschaltung.

Sequentielle Logikgeräte:

Der Ausgang eines sequentiellen Logikbausteins kann durch den aktuellen Eingang und durch den vorherigen Eingang oder Taktimpulse manipuliert werden. Sequentielle Geräte speichern die letzten Daten mit einem Speicherelement. Mit dieser Fähigkeit, Daten zu speichern, eröffnen diese Geräte neue Wege zur Lösung eines Problems.

Sequentielle Geräte sind wie Zähler, Register usw.

Sequentielle Logikchips

Sequentielle Logikchip 3
Bildnachweis: Konstantin Lanzet, KL CHIPS F8680 SoCCC BY-SA 3.0

Vor- und Nachteile der sequentiellen Logik:

Vorteile der sequentiellen Logik:

Ein wesentlicher Vorteil der sequentiellen Logik besteht darin, dass ihre Schaltung ein Speicherelement enthält, das es ermöglicht, Daten zu speichern und ein Register, einen Zähler und Mikroprozessoren zu erzeugen. Mit der Verwendung von Taktimpulsen kann er alle Schaltungselemente unabhängig von unterschiedlichen Ausbreitungsverzögerungen synchronisieren und eine korrekte Ausgabe bereitstellen. Der Ausgang kann durch den aktuellen Eingang, die vergangene Sequenz von Eingängen und auch durch den Taktimpuls manipuliert werden.

Nachteile der sequentiellen Logik:

Bei Vorhandensein eines Taktgebers und einer Rückkopplung in der Schaltung kann die Verarbeitung der Ausgabe langsamer sein. Komplikationen der Schaltung können zunehmen, was Schwierigkeiten beim Aufbau der Schaltung verursachen kann. Die Ausgabe kann manchmal unsicher sein.

Geschichte der sequentiellen Logik :

Sequentielle Logik wird für die Entwicklung von endlichen Automaten verwendet, die ein grundlegender Baustein aller digitalen Schaltungen sind. Für mehr Informationen Klicke hier.

Fragen und Antworten zu sequentiellen Logikschaltungen | gelöste Probleme auf sequentiellen Logikschaltungen | FAQ

F. Wie verwendet der Computer-RAM sequentielle Logik?

Q. Ist ROM/RAM eine kombinatorische oder sequentielle Schaltung?

Antworten: - ROM (Nur-Lese-Speicher) besteht aus Encoder, Decoder, Multiplexer, Addiererschaltung, Subtraktionsschaltung usw. Der Encoder ist eine kombinatorische Schaltung, die hauptsächlich eine Form von Daten in ein anderes Format umwandelt, z. B. Dezimaldaten in Binärdaten. Das Decoder hier ist auch eine kombinatorische Schaltung. Das gleiche gilt für Multiplexer, Addierer und Subtrahierer. Alle sind hier eine kombinatorische Schaltung.

 Im ROM können wir den Inhalt des Speichers nicht ändern. Daher ist die Ausgabe des ROM nur von der Eingabe abhängig. Es besteht also keine Anforderung des Vergangenheitswerts von Input oder Output. ROM hat also nur eine kombinatorische Schaltung in seiner Schaltung.

 Während für RAM (Direktzugriffsspeicher), PROM (programmierbarer Festwertspeicher), EPROM (löschbarer programmierbarer Festwertspeicher), EEPROM (elektrisch löschbarer programmierbarer Festwertspeicher) hat einen Speicher, der sich ändern kann. Im Fall von PROM kann es nach der Herstellung einmal programmiert werden. RAM, EPROM, EEPROM, wo der Zustand geändert werden kann. Bei dieser Art von Speicher benötigen wir für den ordnungsgemäßen Betrieb immer die sequentielle Schaltung, da hier vergangene Ein- und Ausgabewerte benötigt werden. Die aktuelle Ausgabe kann mit der vorherigen Datenfolge geändert werden. Daher benötigt dieser Speichertyp eine sequentielle Schaltung.

F. Ist der Ripple-Carry-Addierer ein Beispiel für eine sequentielle Schaltung Warum?

  Antwort: – Ein Ripple-Carry-Addierer ist eine digitale Schaltung, die eine Additionsarithmetik zweier verschiedener Binärzahlen durchführt. Es kann mit der Kaskadierung eines Volladdierer-Anschlusses zum Übertragsausgang ausgeführt werden, wobei der Übertragsausgang eines Volladdierers mit dem Eingang des nächsten Volladdierers verbunden wird. Wie wir hier sehen, ist ein Volladdierer als Feedback mit dem nächsten Addierer verbunden, hier kann der Ausgang eines Volladdierers den Ausgang eines anderen Volladdierers manipulieren. Hier sehen wir also, dass die vergangene Ausgabe die aktuelle Ausgabe der Schaltung manipulieren kann. Daher kann der Ripple-Carry-Addierer als sequentielle Schaltung betrachtet werden.

F. Warum werden in sequentiellen Schaltungen in Verilog nicht blockierende Zuweisungen verwendet? ?

 Antwort: – Bei nicht blockierenden Zuweisungen, wenn der erste Schritt erfolgt, erfolgt die Auswertung des rechten Ausdrucks der nicht blockierenden Anweisung nach der Überarbeitung der linken Seite der nicht blockierenden Anweisung und am Ende des Zeitschritts erfolgt die Auswertung der linken Anweisung.

 Da nicht-blockierende Zuweisungen die Auswertung von sequentiellen Anweisungen nicht blockieren, erfolgt die Ausführung dieser Zuweisungen gleichzeitig oder parallel. Um eine sequentielle Logikschaltung in Verilog zu erstellen, müssen wir also immer getaktete Blockzuweisungen und nicht blockierende Zuweisungen berücksichtigen. Mit Hilfe von nicht-blockierenden Zuweisungen können wir die Race-Around-Bedingung in der sequentiellen Schaltung beseitigen.

F. Definieren Sie asynchrone sequentielle Logikschaltungen ?

Antwort: im Abschnitt über asynchrone sequentielle Logikschaltungen erklärt.

Q. Wie viele Flip-Flops werden benötigt, um eine sequentielle Schaltung mit 20 Zuständen aufzubauen?.

Antworten: - Flip Flops ist ein grundlegendes Speicherelement in der sequentiellen digitalen Schaltung, das zwei stabile Zustände aufweist, und diese beiden Zustände können als '0' und '1' dargestellt werden, aber es kann jeweils ein einzelnes Bit speichern.

 Gemäß binärer Codierung können n Flipflops maximal 2 darstellenn

Hier brauchen wir 20 Zustände einer sequentiellen Schaltung

Also 2n = 20

Nach dem Lösen der obigen Gleichung erhalten wir n = 4.322

Was 24 Es gibt nur 16 Staaten, aber wir brauchen 20 Staaten. Hier haben wir 4 weitere Zustände zum Arbeiten, also müssen wir eine Zahl größer als 4 wählen. Wir werden also n = 5 verwenden, wobei 2 ist5 hat 32 Staaten, was für 20 Staaten ausreicht.

Während bei der One-Hot-Codierung dort die Anzahl der Flipflops, die für n Zustände erforderlich sind, n beträgt. Da brauchen wir also 20 Flip-Flops für 20 Zustände.

F. Wie kann ein sequenzieller Chip allein aus kombinatorischen Chips hergestellt werden?

Antwort: – Wenn eine kombinatorische Logikschaltung mit einem Rückkopplungspfad verbunden ist, ist die resultierende Schaltung eine sequentielle Logikschaltung.

Wenn wir zum Diagramm der wesentlichen Speicherelemente wie a gehen Flip-Flop, Latches, können wir sehen, dass das Flip-Flop mit Hilfe von UND-Gatter, NAND-Gatter, NOR-Gatter usw. erstellt werden kann, wenn sie rückgekoppelt miteinander verbunden sind.

SR FF
Abb. Dies ist ein Diagramm des SR-Flipflops. 

 Das Diagramm zeigt zwei NAND-Gatter, die mit einem Rückkopplungspfad verbunden sind, der die SR-Flipflop-Schaltung bildet. Auf diese Weise kann eine kombinatorische Schaltung in eine sequentielle Schaltung umgewandelt werden.

Q. Arbeitsprinzip von astabilen sequentiellen Logikschaltungen

Antwort:- Eine astabile sequentielle Logikschaltung hat keinen stabilen Zustand als Ausgang, dh sie ist in keinem Zustand stabil. Der Ausgang geht kontinuierlich von einem Zustand in einen anderen über. Dieser Schaltungstyp kann als Oszillator verwendet werden, beispielsweise als Oszillator zum Erzeugen von Taktimpulsen in einer Schaltung. Ein Beispiel für eine astabile Schaltung ist ein Ringoszillator.

Für weitere Artikel Klicke hier

Hinterlasse einen Kommentar